首页 新闻 会员 周边

关于Moselsim设置custom radix,报错Precision value is invalid.The value must be an integer,between 0 and 17

0
[待解决问题]

Modelsim仿真时,有一个32bit的定点数,1位符号位,3位整数位,28位小数位,设置自定义Radix时报错:

Precision value is invalid.The value must be an integer,between 0 and
17,and optional format specification taking the form "<width>[efg]"

precision说不能超过17,它不是数据的全部位宽吗?32位的数就不能用这个功能了?

更奇怪的是,fraction bits,代表小数位,需要在3~64之间。

precision最大才支持到17,小数位怎么会超过17呢?

问题补充:

precision不是全部位宽的意思,而是精度,保留小数点后几位。

壹肆叁贰海里的主页 壹肆叁贰海里 | 菜鸟二级 | 园豆:204
提问于:2023-10-25 08:57
< >
分享
所有回答(1)
0

UI窗口设置不上数据格式,可以通过命令行设置

壹肆叁贰海里 | 园豆:204 (菜鸟二级) | 2023-10-25 09:08
清除回答草稿
   您需要登录以后才能回答,未注册用户请先注册