首页 新闻 会员 周边

运行altera—modelsim,不能不能出波形

0
悬赏园豆:5 [待解决问题]

问题时这样的:

error:(vish-4014) NO objects found matching '*',

Error in macro ./adder_run_msim_rtl_verilog .do line 14

(vish-4014) No objects found matching ‘*’。

while EXECUTING

LOAD CANCELED

问题补充:

我该怎样找呢?

rectinajh的主页 rectinajh | 初学一级 | 园豆:197
提问于:2012-04-26 21:38
< >
分享
所有回答(2)
0

NO objects found matching '*',  

 

没有找到匹配的‘*’

┢┦偉 | 园豆:1240 (小虾三级) | 2012-04-27 10:31
0

同问

HGang | 园豆:202 (菜鸟二级) | 2014-01-17 11:09
清除回答草稿
   您需要登录以后才能回答,未注册用户请先注册