首页 新闻 会员 周边

VHDL guarded block 使用时出现Guarded signal unsupported in block statement.

0
悬赏园豆:10 [已解决问题] 解决于 2020-07-25 09:12

按照《VHDL 数字电路设计教程》这本书中写的卫士块实现D触发器的程序,但是出现了问题,程序如下:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity Dflipflop2Source is
port(d,clk,rst:in std_logic;
q:out std_logic);
end Dflipflop2Source;

architecture Behavioral of Dflipflop2Source is

begin
b1:block(clk'event and clk='1')
begin
q<= guarded '0' when rst='1' else d;
end block b1;

end Behavioral;
出现的为题为:
ERROR:HDLParsers:1074 - "D:/desktop/ISEVHDL/add1/Dflipflop2Source.vhd" Line 31. Guarded signal unsupported in block statement.
ERROR:HDLParsers:1024 - "D:/desktop/ISEVHDL/add1/Dflipflop2Source.vhd" Line 33. Guarded unsupported in signal assignment.

Gaina_he的主页 Gaina_he | 初学一级 | 园豆:170
提问于:2020-07-23 10:50
< >
分享
最佳答案
0

不属于RTL的设计,不要使用。

收获园豆:10
窗户 | 小虾三级 |园豆:886 | 2020-07-24 13:15

我换了个板子的型号就没出现问题,这个语句还是不要用了()

Gaina_he | 园豆:170 (初学一级) | 2020-07-25 09:10
清除回答草稿
   您需要登录以后才能回答,未注册用户请先注册