首页 新闻 会员 周边 捐助
1
回答数

待解决问题 关于Moselsim设置custom radix,报错Precision value is invalid.The value must be an integer,between 0 and 17

Modelsim仿真时,有一个32bit的定点数,1位符号位,3位整数位,28位小数位,设置自定义Radix时报错: Precision value is invalid.The value must